@article{oai:kyutech.repo.nii.ac.jp:00006360, author = {Wen, Xiaoqing and 温, 暁青 and Yamashita, Yoshiyuki and Kajihara, Seiji and 梶原, 誠司 and Wang, Laung-Terng and Saluja, Kewal K. and Kinoshita, Kozo}, issue = {5}, journal = {IEICE Transactions on Information and Systems}, month = {May}, note = {Research on low-power scan testing has been focused on the shift mode, with little consideration given to the capture mode power. However, high switching activity when capturing a test response can cause excessive IR-drop, resulting in significant yield loss due to faulty test results. This paper addresses this problem with a novel low-capture-power X-filling method by assigning 0's and 1's to unspecified bits (X-bits) in a test cube to reduce the switching activity in capture mode. This method can be easily incorporated into any test generation flow, where test cubes can be obtained during ATPG or by X-bit identification. Experimental results show the effectiveness of this method in reducing capture power dissipation without any impact on area, timing, and fault coverage.}, pages = {1679--1686}, title = {A New Method for Low-Capture-Power Test Generation for Scan Testing}, volume = {E89-D}, year = {2006}, yomi = {オン, ギョウセイ and カジハラ, セイジ} }